[PATCH v2 2/6] selftests/clone3: add a check for invalid exit_signal